Быстрый термический процесс (RTP)

PhotonExport, специализирующийся на поставках тонких пленок и нанотехнологий, предлагает широкий ассортимент оборудования для быстрого термического процесса (RTP) и (RTA) в Испании и Португалии.

Системы быстрого термического процесса (RTP) от Annealsys.

Печи для быстрого термического процесса (RTP) от Annealsys могут решать различные задачи с расширенным диапазоном температур и возможностью создания вакуума. Печи с инфракрасными лампами могут выполнять отжиг до 1450°C и продолжительностью до 1 часа при 1200°C. Эти универсальные системы RTP могут обрабатывать образцы от нескольких мм² до 200 мм в диаметре с ручной загрузкой или роботом для кассетной обработки для производства, включая индивидуальные решения для обработки пластин полупроводниковых соединений с подставками.
Rapid Thermal Processing (RTP)
Rapid Thermal Processing (RTP) Equipment. Photo: Courtesy of Annealsys.
Rapid Thermal Processing (RTP) Systems
Rapid Thermal Processing (RTP) Systems. Photo: Courtesy of Annealsys.

Annealsys AS-MICRO

The most powerful 3-inch rapid thermal processor for universities.

The AS-Micro is a compact table top three-inch rapid thermal processor with vacuum capability dedicated to research applications. It can process substrates from few square millimeters up to 3-inch diameter or square. Optional susceptors are available to hold small samples and to process substrates with low infrared absorption.

The quartz tube process chamber with stainless steel flanges and tubular infrared halogen lamps furnace allows running processes up to 1250°C with very fast ramp rates (> 250°C/s). The state of the art temperature controller provides accurate temperature control. The horizontal motion door with quartz tray provides easy access for loading and unloading of the wafers and thermocouple installation.

Annealsys AS-Micro
Annealsys AS-Micro. Photo: Courtesy of Annealsys.

Annealsys AS-Micro Key Features:

  • Infrared halogen tubular lamp furnace with silent fan cooling
  • Quartz tube chamber with water cooled stainless steel flanges
  • Fast digital PID temperature controller
  • Thermocouple control (optional pyrometer)
  • Atmospheric and vacuum process capability
  • One purge line and up to 5 process gas lines with digital MFC
  • PC control with Ethernet communication for fast data logging
  • Optional SiC coated graphite susceptor for small samples
  • Optional turbo pump and pressure control
  • Optional double chamber for cross contamination issues

Annealsys AS-Micro Applications:

  • Implant annealing
  • Ohmic contact annealing
  • Rapid Thermal Oxidation (RTO)
  • Rapid Thermal Nitridation (RTN)
  • Densification and crystallization
  • Selenization
  • CVD of graphene
  • and more.
Request a quote or more information about the AS-MICRO System
More Information

Annealsys AS-One

The AS-One RTP system is available for processing up 100 mm or up to 150 mm diameter substrates.

The Annealsys AS-One system is available with two sizes of reactors to process substrates up to 100 mm (4”) or 150 mm (6”) diameter. The machine has been specially developed to meet the requirements of research laboratories and small-scale production. The high reliability guarantees low cost of ownership. The floor standing configuration and the reduced footprint allow easy installation in cleanroom and easy access for maintenance.

The AS-One system has a stainless steel cold wall process chamber for better process reproducibility and higher cooling rates. The special design of the process chamber provides a low volume for fast pumping and purging and low consumption of process gases. Pyrometer and thermocouple temperature measurements are standard features.

The fast digital PID controller provides accurate and repeatable thermal control across the temperature range. The clam shell style design of the process chamber enables full access to the bedplate and easy access for loading and unloading the substrates as well as a practical cleaning of the chamber.

Annealsys AS-One
Annealsys AS-One. Photo: Courtesy of Annealsys.

Annealsys AS-One Key Features:

  • Infrared halogen tubular lamp furnace with silent fan cooling
  • Stainless steel cold wall chamber technology
  • Fast digital PID temperature controller
  • Thermocouple and pyrometer control
  • Atmospheric and vacuum process capability
  • Purge gas line with needle valve
  • Up to 5 process gas lines with digital MFC
  • PC control with Ethernet communication for fast data logging
  • Optional turbo pump and pressure control

Annealsys AS-One Key Applications:

  • Implant annealing
  • Ohmic contact annealing (III-V and SiC)
  • Rapid Thermal Oxidation (RTO)
  • Rapid Thermal Nitridation (RTN)
  • Selenization (CIGS solar cells)
  • CVD of graphene
  • Silicon carbonization
  • Sol-gel densification and crystallization
  • Diffusion from spin-on dopants
  • and more.
Request a quote or more information about the AS-ONE System
More Information

Annealsys AS-Master

RTP system excellent for production applications.

The AS-Master is an ideal RTP system for production applications that require a cassette-to-cassette loading system. The manual loading version can be used to develop different processes.

The Annealsys AS-Master Rapid Thermal Processor is a flexible equipment that can perform a variety of processes ranging from annealing to Rapid Thermal Chemical Vapor Deposition. The high temperature version can perform annealing operations up to 1450°C and enables for the development of new processes.

Cold wall chamber technology ensures excellent process repeatability in a contamination-free environment. AS-Master is appropriate for a wide range of RTP and RTCVD operations because to its increased temperature range, vacuum performance (atmosphere to 10-6 Torr), and gas mixing capacity.

For increased process environment cleanliness, loadlock and cluster tool module versions are offered. Manual loading and cassette-to-cassette versions make the system suited for process research and simple production transfer.

Annealsys AS-Master
Annealsys AS-Master. Photo: Courtesy of Annealsys.

Annealsys AS-Master Key Features:

  • Multi-zone infrared halogen lamp furnace with close loop air cooling
  • Stainless steel cold wall chamber technology
  • Fast digital PID temperature controller
  • Thermocouple and pyrometer control
  • Atmospheric and vacuum process capability
  • Up to 8 process gas lines with digital MFC and one purge gas line
  • PC control with Ethernet communication for fast data logging
  • Gas panel for standard or hazardous gases
  • Optional turbo pump and downstream pressure control
  • Manual or cassette to cassette loading

Annealsys AS-Master Applications:

  • Implant annealing
  • Ohmic contact annealing (III-V and SiC)
  • Silicon carbonization
  • Rapid Thermal Oxidation (RTO)
  • Rapid Thermal Nitridation (RTN)
  • Diffusion from spin-on dopants
  • Densification and crystallization
  • Selenization
  • Rapid Thermal CVD
  • Thermal annealing of polymers
  • and more.
Request a quote or more information about the AS-MASTER System
More Information

JETFIRST 200

Ideal for R&D applications from MEMS to SOLAR

Jipelec JetFirst 200 system
JetFirst 200. Photo: Courtesy of Annealsys.
Request a quote or more information about the JETFIRST 200 System
More Information

The JetFirst 200 system is a cost-effective bench-top RTP processor ideal for R&D applications from MEMS to SOLAR. The system includes a cold-wall reaction chamber, a powerful infrared lamp furnace, and a software that allows full process monitoring, data acquisition and pyrometer calibration for a large range of substrates.

JetFirst 200 Key Features:

  • Temperature range: from room temperature to 1450°C (depending upon version)
  • Up to 200°C/s ramp rate (depending upon version)
  • Capability for gas mixing using mass flow controls
  • Manual or automatic substrate loading
  • Atmosphere to 10-6 Torr vacuum range

JetFirst 200 Applications:

  • Rapid thermal annealing (RTA)
  • Rapid thermal Oxidation (RTO)
  • Nitridation (RTN),
  • Rapid Thermal Diffusion from spin-on dopant
  • Crystallization
  • Contact Alloying
  • Solar applications for PV industry
  • and more.

JETLIGHT 50 – RTP SYSTEM

Designed to meet requirements of R&D laboratories and small-scale production.

JetLight 50 RTP System. Photo: Courtesy of Annealsys.
JetLight 50 RTP System. Photo: Courtesy of Annealsys.

The JetLight50 system is a a versatile, compact size and cost effective, software controlled bench-top type RTP tool, specifically designed to meet requirements of R&D laboratories and small-scale production units.

JetLight 50 Key Features

  • Software-controlled (PLC and PC)
  • Hot-wall (quartz tube) chamber design
  • 2 gas lines with manual ball flow meter control
  • Substrate size up to 50mm diameter
  • Quartz tubes substrate holder
  • Atmospheric and vacuum process capabilities
  • Microprocessor-based thyristor technology

JetLight 50 Applications

  • Rapid thermal annealing (RTA)
  • Rapid thermal Oxidation (RTO)
  • Nitridation (RTN), Diffusion (RTD)
  • Implant monitoring
  • Cystrallization
  • Carbonization
  • Contact alloying
  • and more.
Request a quote or more information about the JETLIGHT 50 System
More Information

For more information or to inquire about Rapid Thermal Processing (RTP) and Rapid Thermal Annealing (RTA) systems, please fill out the form below, and our team will be happy to assist you.

What is Rapid Thermal Processing (RTP)?

Rapid Thermal Processing (RTP) is a semiconductor manufacturing technology that allows for the rapid heating of samples to high temperatures in order to complete short processes in a few minutes or less.

High intensity lamps controlled by pyrometers and thermocouples that detect sample temperature are used to generate such rapid heating rates.

To avoid dislocations and sample breakage, cooling must be precisely controlled.

Rapid Thermal Processing was originally developed for ion implant annealing, but its applications have expanded to include oxidation, silicide formation, chemical vapor deposition, and advanced applications such as modifying the crystallographic phase of elements, compounds, or alloys to improve properties, lattice interface, or stress relaxation.

RTP is a flexible technology that provides rapid heating and cooling to process temperatures ranging from 200 to 1300°C, with ramp rates typically ranging from 20 to 200°C/sec, and excellent gas ambient control, allowing the creation of sophisticated multistage processes within a single processing recipe.

Rapid Thermal Processing chamber.
Rapid Thermal Processing chamber. Photo: Courtesy of Annealsys.

Rapid Thermal Anneal

Rapid Thermal Anneal (RTA) is a process used in semiconductor device manufacture that includes heating a single wafer at a time to influence its electrical characteristics.

Different heat treatments are created for different effects.

Wafers can be heated to activate dopants, modify the film-to-wafer substrate interface, densify deposited films, change the states of grown films, repair ion implantation damage, shift dopants from one film to another, or form a film into the wafer substrate.

Other Applications

•Implant annealing

•Ohmic contact annealing

•Rapid Thermal Oxidation (RTO)

•Rapid Thermal Nitridation (RTN)

•Rapid Thermal Evaporation (RTE)

•Densification and crystallization

•Diffusion of dopants

•Selenization (CIGS solar cells)

•RTCVD of graphene and HBN

Implant Annealing

By directly bombarding dopants into a substrate, high energy ions are deposited on it. The collision of high energy ions with the atoms of the substrate during this process produces changes and damages to the substrate’s material structure. Damages of ion implantation can be formation of crystal defects, creating local zones of amorphous material within crystalline structure and formation of continuous amorphous layers. Annealing heat treatment applied to material in order to restoring the structure of the substrate to condition before ion implantation process.

Ohmic Contact Annealing

The main steps for ohmic contact fabrication are surface cleaning of semiconductor, metal deposition, patterning and annealing as a last step. Surface cleaning may be done by various ways such as sputter etching, chemical ething, reactive gas etching or ion milling. After that, metals are deposited on the semiconductor by CVD, sputter deposition or evaporation. Patterning of contacts can be done with photolithographic methods. The annealing process is applied to relieve any stress that may occur on the materials during the previous steps or to accelerate any reaction that is desired to occur between the metal and the semiconductor.

Rapid Thermal Oxidation

In order to make semiconductor devices smaller, it is necessary to perfectly control oxidation and minimize the effects of oxidation on silicon. Very short times on high temperatures required to prevent these impurities which could affect electrical properties of device and achieve oxide film growth. This can be achieved by rapid thermal oxidation and RTO process tends to produce silicon dioxide films with the highest quality electrical and material characteristics. It is possible to precisely control the oxidation temperature and reducing the thermal budget of the heat cycle required for an oxide film growth.

Rapid Thermal Nitridation

In terms of chemical and electrical properties, nitrided thermal SiO2 films shows better results than pure thermal oxides. The nitridation of oxides was previously carried out with excessively long furnace times or plasma-enhanced treatments. However, nitrogen distribution in the oxides could not be controlled in these methods, and as a result, nitride oxides could not be used at their full potential. Now nitride oxides can be effectively controlled and used with RTN.

Rapid Thermal Evaporation

In a rapid thermal evaporation (RTE) system, the powdered source material is evaporated and deposited on the substrate located within 10 mm from the source. This design in the RTE system allows high deposition rates (~2 µm/min), effective use of source materials, high uniformity in thickness and composition of thin films. Therefore, RTE has been successfully used in synthesizing photovoltaic-grade thin films.

Densification and Crystallization

When sintering porous, amorphous materials there is a competition between densification and crystallization. When a material crystallizes prior to full densification, transport by diffusion is slowcr through the impinging crystals than by viscous flow through the matrix. Crystal formation during sintering retards the densification rate. Thus, a porous, crystalline material results. However, when the nucleation of crystals is avoided, such as by heating rapidly through the region where nucleation rate is fast, densification will occur prior to extensive crystallization. A dense, crystalline material results.

Diffusion of Dopants

Diffusion and ion implantation are the two key processes to introduce a controlled amount of dopants into semiconductors and to alter the conductivity type. The doping concentration decreases monotonically from the surface, and the in-depth distribution of the dopant is determined mainly by the temperature and diffusion time. Generally speaking, diffusion and ion implantation complement each other. Diffusion is used to form a deep junction, such as an n-tub in a CMOS device, while ion implantation is utilized to form a shallow junction, like a source / drain junction of a MOSFET.

Selenization (CIGS Solar Cells)

The chalcopyrite structure of Cu(In, Ga)Se2 (CIGS) has the potential to make it a major candidate for new and more efficient solar cells due to its favorable band gap, high absorption coefficient for solar radiation and stability against photo-degradation. The two-step process is the most promising for fabricating high efficiency solar cells at low cost manner on an industrial scale. This type of process consists of the sputter deposition of metallic precursor layers on back electrode (Mo films), followed by selenization using an elemental Se vapor ambient. Many parameters require optimization in order to obtain a high quality CIGS solar cell absorber by a selenization process, including the Cu/Ga/In metal stacking order, Se layer thickness, temperature ramp rate, annealing temperature, annealing time, and partial pressure of Se.